Bibliography

Each chapter of this book has a list of the most pertinent references for the topics discussed in that chapter. Here we compile all of those references into a single listing.

Allan, Alan, Don Edenfeld, William H. Joyner, Jr., Andrew B. Khang, Mike Rodgers, and Yervant Zorian, "2001 Technology Roadmap for Semiconductors," IEEE Computer 35 (1), 42 53 (2002). Available from http://www.intel.com/research/silicon/micron.htm.

Allen, Randy and Ken Kennedy, Optimizing Compilers for Modern Architectures: A Dependence-Based Approach. San Francisco, Cal.: Morgan Kaufmann Publishers, Inc., 2002.

Alpha Architecture Committee, Alpha Architecture Reference Manual, 3rd ed. Woburn, Mass.: Butterworth-Heinemann (Digital Press), 1998.

Amdahl, G. M., G. A. Blaauw, and F. P. Brooks, Jr., "Architecture of the IBM System/360," IBM Journal of Research and Development 8 (2), 87 101 (1967).

Bhandarkar, Dileep P., Alpha Implementations and Architecture: Complete Reference and Guide. Burlington, Mass.: Digital Press, 1996.

Blaauw, Gerrit A. and Frederick P. Brooks, Jr., Computer Architecture: Concepts and Evolution. Reading, Mass.: Addison-Wesley, 1997.

Blickstein, David S., Peter W. Craig, Caroline S. Davidson, R. Neil Faiman, Jr., Kent D. Glossop, Richard B. Grove, Steven O. Hobbs, and William B. Noyce, "The GEM Optimizing Compiler System," Digital Technical Journal 4 (4), 121 136 (1992).

Bohr, Mark, Intel's 90 nm Technology: Moore's Law and More, 2002 [cited 22 September 2002]. Available from http://www.intel.com/research/silicon/micron.htm.

Booth, Andrew D., "A Signed Binary Multiplication Technique," Quarterly Journal of Mechanics and Applied Mathematics IV, pt. 2, 236 240 (1951).

Brunner, Richard A., VAX Architecture Reference Manual, 2nd ed. Bedford, Mass.: Digital Press, 1991.

Burks, Arthur W., Herman W. Goldstine, and John von Neumann, "Preliminary Discussion of the Logical Design of an Electronic Computing Instrument," Report to the U.S. Army Ordnance Department (1946), in John von Neumann: Collected Works, A. H.Taub, editor, Vol. 2, pp. 34 79. London: Pergamon Press, 1961.

Burley, Craig, Using and Porting GNU Fortran [cited 22 July 2002]. Available from http://gcc.gnu.org/onlinedocs/.

Carpinelli, John D., Computer Systems: Organization & Architecture. Boston, Mass.: Addison Wesley Longman, Inc., 2001.

Chamberlain, Steve, Using ld: The GNU Linker [cited 14 December 2001]. Available from http://www.fsf.org/manual/.

Clements, Alan, The Principles of Computer Hardware, 2nd ed. Oxford, UK: Oxford University Press, 2000.

Coleman, Clark L, Using Inline Assembly With gcc, 2000 [cited 29 September 2002]. Available from http://www.cs.virginia.edu/~clc5q/links.html.

Connectix Corporation, The Technology of Virtual Machines, 2001 [cited 26 January 2002]. Available at http://www.connectix.com/products/vpcw_wp_index.html.

Conte, Thomas M., Pradeep K. Dubey, Matthew D. Jennings, Ruby B. Lee, Alex Peleg, Salliah Rathnam, Mike Schlansker, Peter Song, and Andrew Wolf, "Challenges to Combining General-Purpose and Multimedia Processors," Computer 30 (12), 13 37 (1997).

Cornea, Marius, John Harrison, and Ping TakPeter Tang, Scientific Computing on Itanium-based Systems. Intel Press, 2003.

Cornea-Hasegan, Marius and Bob Norin, "IA-64 Floating-Point Operations and the IEEE Standard for Binary Floating-Point Arithmetic," Intel Technology Journal Q4, 1999 [cited 06 September 2002]. Available from http://www.intel.com/technology/itj/.

De Sutter, Bjorn, Mark Christiaens, Koen De Bosschere, and Jan Van Campenhout, "On the Use of Subword Parallelism in Medical Processing," Parallel Computing 24, 1537 1556 (1998).

Denning, Peter J., "RISC Architecture," American Scientist 81 (1), 7 10 (1993).

Dijkstra, E. W., "Cooperating Sequential Processes," Technical Report EWD-123, Teshnische Hogeschool, Eindhoven, The Netherlands (1965); reprinted in Parallel Processing, F. Genuys, editor, London: Academic Press, 1968, pp. 43 112.

Dijkstra, Edsger W., "Go To Statement Considered Harmful," Communications of the ACM 11 (3), 147 148 (1968).

Dulong, Carole, Rakesh Krishnaiyer, Dattatraya Kulkarni, Daniel Lavery, Wei Li,John Ng, and David Sehr, "An Overview of the Intel IA-64 Compiler," Intel Technology Journal Q4, 1999 [cited 06 September 2002]. Available from http://www.intel.com/technology/itj/.

Eckhouse, Richard H. and L. Robert Morris, Minicomputer Systems: Organization, Programming, and Applications (PDP-11). Englewood Cliffs, N.J.: Prentice Hall, Inc., 1979.

Elsner, Dean, Jay Fenlason, and friends, Using as: The GNU Assembler [cited 14 December 2001]. Available from http://www.fsf.org/manual/.

Eranian, Stéphane and David Mosberger, "The Linux/ia64 Project: Kernel Design and Status Update," HP Technical Report HPL-2000-85 (June 2000) [cited 25 September 2002]. Available from http://www.hpl.hp.com/techreports/.

Evans, James S. and Richard H. Eckhouse, Alpha RISC Architecture for Programmers. Upper Saddle River, N.J.: Prentice Hall PTR, 1999.

Ferrenberg, Alan M., D. P. Landau, and Y. Joanna Wong, "Monte Carlo simulations: Hidden errors from 'good' random number generators," Physical Review Letters 69, 3382 3384 (1992).

Flynn, Michael J., "Some Computer Organizations and Their Effectiveness," IEEE Transactions on Computers C-21 (9), 948 960 (1972).

Free Software Foundation, The C Preprocessor, 2002 [cited 27 August 2002]. Available from http://gcc.gnu.org/onlinedocs/.

Free Software Foundation, GNU Project [cited 13 November 2001]. See http://www.fsf.org/ or http://www.gnu.org/.

GCC Team, The, Using and Porting the GNU Compiler Collection (GCC) [cited 14 December 2001]. Available from http://www.fsf.org/software/gcc/onlinedocs/.

Gokhale, Vipin V., "Design of the 64-bit Option for the Oracle7 Relational Database Management System," Digital Technical Journal 8 (4), 76 82 (1996).

Gornish, Eddie, Inline Assembly for Itanium-based HP-UX. Hewlett-Packard Company, 2002.

Grune, Dick, Henri E. Bal, Ceriel J.H. Jacobs, and Koen G. Langendoen, Modern Compiler Design. Chichester, UK: John Wiley & Sons, Ltd., 2000.

Haahr, Mads, Introduction to Randomness and Random Numbers, 1999 [cited 18 August 2002]. Available at http://www.random.org/essay.html.

Haible, Bruno, The Unicode HOWTO, 2001 [cited 9 October 2001]. Available at ftp://ftp.ilog.fr/pub/Users/haible/utf8/Unicode-HOWTO.html.

Hamacher, Carl, Zvonko Vranesic, and Safwat Zaky, Computer Organization, 5th ed. Boston, Mass.: McGraw-Hill, 2002.

Hammond, Gary and Sam Naffziger, Next Generation Itanium Processor Overview, 2001 [cited 28 August 2002]. Available from http://www.intel.com/design/Itanium2/techpubs/.

Hayes, Brian, "The Wheel of Fortune," American Scientist 81, 114 118, 1993.

Hayes, Brian, "The Vibonacci Numbers," American Scientist 87, 296 301 (1999).

Hennessy, John L. and David A. Patterson, Computer Architecture: A Quantitative Approach, 3rd ed. San Francisco, Cal.: Morgan Kaufmann Publishers, Inc., 2003.

Hennessy, John L. and David A. Patterson, Computer Organization and Design: The Hardware/Software Interface, 2nd ed. San Francisco, Cal.: Morgan Kaufmann Publishers, Inc., 1998.

Hewlett-Packard Company, ADB Tutorial, June 1991 [cited 27 January 2002]. Available from http://docs.hp.com/hpux/dev/.

Hewlett-Packard Company, HP aC++ Programmer's Guide, 2001 [cited 25 July 2002]. Available from http://docs.hp.com/hpux/dev/.

Hewlett-Packard Company, HP C/HP-UX Online Help, 2001 [cited 25 July 2002]. Available from http://docs.hp.com/hpux/dev/.

Hewlett-Packard Company, HP Compilers for Itanium-based HP-UX, 2002 [cited 05 August 2002]. Available at http://h21007.www2.hp.com/dspp/files/unprotected/Itanium/CompilersTechOverview.pdf.

Hewlett-Packard Company, HP Fortran Programmer's Guide, 3rd ed., 2001 [cited 25 July 2002]. Available from http://docs.hp.com/hpux/dev/.

Hewlett-Packard Company, Inside the Intel Itanium 2 Processor, 2002 [cited 21 August 2002]. Available from http://h21007.www2.hp.com/dspp/tech/tech_TechDocumentDetailPage_IDX/1,1701,3718,00.html.

Hewlett-Packard Company, online technical documentation for HP-UX [cited 10 January 2002]. Available from http://docs.hp.com/.

Hewlett-Packard Company, Ski IA-64 Simulator Reference Manual, April 2000. Available when the simulator is downloaded from http://software.hp.com/LIA64/.

Houlden, Marcus, MS-DOS Reference, 2001 [cited 13 November 2001]. Available from http://www.nukesoft.co.uk/msdos/.

Hyde, Randall, The Art of Assembly Language Programming, 1996 [cited 25 September 2001]. Available from http://webster.cs.ucr.edu/.

IEEE Standard for Binary Floating-Point Arithmetic, ANSI/IEEE Std 754-1985. New York: Institute of Electrical and Electronics Engineers, 1985.

IEEE Standard for Radix-Independent Floating-Point Arithmetic, ANSI/IEEE Std 854-1987. New York: Institute of Electrical and Electronics Engineers, 1987.

Intel Corporation, "Application Architecture," revision 2.1, Intel Itanium Architecture Software Developer's Manual, Vol. 1, 2002.

Intel Corporation, "Basic Architecture," IA-32 Intel Architecture Software Developer's Manual, Vol. 1, 2001.

Intel Corporation, History of the Microprocessor [cited 23 May 2002]. Available from http://www.intel.com/intel/intelis/museum/exhibit/hist_micro/.

Intel Corporation, "Instruction Set Reference," IA-32 Intel Architecture Software Developer's Manual, Vol. 2, 2001.

Intel Corporation, "Instruction Set Reference," revision 2.1, Intel Itanium Architecture Software Developer's Manual, Vol. 3, 2002.

Intel Corporation, Intel C++ Compiler User's Guide, 2002.

Intel Corporation, Intel Fortran Compiler User's Guide, 2002.

Intel Corporation, Intel Itanium Architecture Assembly Language Reference Guide, 2001.

Intel Corporation, Intel Itanium Assembler User's Guide, 2001.

Intel Corporation, Intel Itanium 2 Processor Hardware Developer's Manual, 2002.

Intel Corporation, Intel Itanium 2 Processor Reference Manual for Software Development and Optimization, 2002.

Intel Corporation, Intel Itanium Processor Reference Manual for Software Development, revision 2.0, 2001.

Intel Corporation, Intel Itanium Processor Reference Manual for Software Optimization, 2001.

Intel Corporation, Itanium Software Conventions and Runtime Architecture Guide, 2001.

Intel Corporation, Optimizing Applications with the Intel C++ and Fortran Compilers for Windows and Linux, updated for Version 6.0 compilers, 2002 [cited 23 July 2002]. Available at http://www.intel.com/software/products/compilers/c60/techtopics/Compiler_Optimization_6.pdf

Intel Corporation, "Specification Update," Intel Itanium Architecture Software Developer's Manual, 2001.

Intel Corporation, "System Architecture," revision 2.1, Intel Itanium Architecture Software Developer's Manual, Vol. 2, 2002.

International Organization for Standardization, Data elements and interchange formats Information interchange Representation of dates and times, ISO 8601, 2000.

Jarp, Sverre, IA-64 Architecture: A Detailed Tutorial, 1999 [cited 19 August 2002]. Available at http://nicewww.cern.ch/~sverre/SJ.html.

Johnson, Teresa, and Nathaniel McIntosh, Optimizing Itanium-based applications, Version 1.3. Hewlett-Packard Company, 2002 [cited 02 August 2002]. Available from http://h21007.www2.hp.com/dspp/tech/tech_TechDocumentDetailPage_IDX/1,1701,3207,00.html

Kane, Gerry, PA-RISC 2.0 Instruction Set Architecture. Upper Saddle River, N.J.: Prentice Hall PTR, 1996.

Kernighan, Brian W. and Rob Pike, The UNIX Programming Environment. Englewood Cliffs, N.J.: Prentice Hall, 1984.

Kernighan, Brian W. and Dennis M. Ritchie, The C Programming Language, 2nd ed. Englewood Cliffs, N.J.: Prentice Hall PTR, 1988.

Knuth, Donald E., Fundamental Algorithms. Vol. 1 of The Art of Computer Programming, 3rd ed. Reading, Mass.: Addison-Wesley, 1997.

Knuth, Donald E., Seminumerical Algorithms. Vol. 2 of The Art of Computer Programming, 3rd ed. Reading, Mass.: Addison-Wesley, 1998.

Knuth, Donald E., Sorting and Searching. Vol. 3 of The Art of Computer Programming, 2nd ed. Reading, Mass.: Addison-Wesley, 1998.

Langholz, Gideon, Abraham Kandel, and Joe L. Mott, Digital Logic Design. Dubuque, Iowa: Wm. C. Brown Publishers, 1988.

Lee, Ruby B., "Subword Parallelism with MAX-2," IEEE Micro 16 (4), 41 59 (1996).

Levy, Henry M. and Richard H. Eckhouse, Computer Programming and Architecture: The VAX, 2nd ed. Bedford, Mass.: Digital Press, 1989.

Li, Ren-Cang, Peter Markstein, Jon P. Okada, and James W. Thomas, The Libm Library and Floating-Point Arithmetic in HP-UX for Itanium 2. Hewlett-Packard Company, 2002 [cited 02 August 2002]. Available from http://h21007.www2.hp.com/dspp/tech/tech_TechDocumentDetailPage_IDX/1,1701,981,00.html.

Li, Wei, Compiling for Itanium Architecture: Triumphs and Challenges, 2001 [cited 1 October 2002]. Available from http://systems.cs.colorado.edu/EPIC1/.

Loukides, Mike and Andy Oram, Programming with GNU Software. Sebastopol, Cal.: O'Reilly & Associates, Inc., 1997.

Mackenzie, Charles E., Coded Character Sets: History and Development. Reading, Mass.: Addison-Wesley Publishing Company, 1980.

Magnusson, Peter S., Magnus Christensson, Jesper Eskilson, Daniel Fosgren, Gustav Hållberg, Johan Högberg, Fredrik Larsson, Andreas Moestedt, and Bengt Werner, "Simics: A Full System Simulation Platform," IEEE Computer 35 (2), 50 58 (2002).

Mahlke, Scott A., Richard E. Hank, Roger A. Bringman, John C. Gyllenhaal, David M. Gallagher, and Wen-mei W. Hwu, "Characterizing the Impact of Predicated Execution on Branch Prediction," in Proceedings of the 27th Annual International Symposium on Microarchitecture, MICRO-27, 217 227 (1994).

Markstein, Peter, IA-64 and Elementary Functions: Speed and Precision. Upper Saddle River, N.J.: Prentice Hall PTR, 2000.

Mihocka, Darek, Processor Basics, 2000 [cited 23 May 2002]. Available from http://www.emulators.com/docs/pentium_1.htm.

Moore, Gordon E., "Cramming More Components onto Integrated Circuits," Electronics 38 (8), 114 117 (1965).

Moore, Gordon, "VLSI: Some Fundamental Changes," IEEE Spectrum 16 (4), 30 37 (1979).

Mosberger, David, and Stéphane Eranian, IA-64 Linux Kernel: Design and Implementation. Upper Saddle River, N.J.: Prentice Hall PTR, 2002.

Murdocca, Miles J. and Vincent P. Heuring, Principles of Computer Architecture. Upper Saddle River, N.J.: Prentice Hall, Inc., 2000.

Naffziger, Sam, and Gary Hammond, Implementation of the Next Generation 64b Itanium Processor, 2002 [cited 21 September 2002]. Available from http://www.intel.com/design/Itanium2/techpubs/.

Nutt, Gary J., Operating Systems: A Modern Perspective, 3rd ed. Reading, Mass.: Addison-Wesley, 2003.

PA-RISC 1.1 Architecture and Instruction Set Reference Manual, 3rd ed. Hewlett-Packard Company, 1994 [cited 2 December 2001]. Available from http://h21007.www2.hp.com/dspp/tech/tech_TechDocumentDetailPage_IDX/1,1701,958,00.html.

Park, Stephen K. and Keith W. Miller, "Random number generators: Good ones are hard to find," Communications of the ACM 31, 1192 1201 (1988).

PDP-11 Architecture Handbook. Maynard, Mass.: Digital Equipment Corporation, 1982.

Peatman, John B., The Design of Digital Systems. New York, N.Y.: McGraw-Hill Book Company, 1972.

Plauger, P. J., The Standard C Library. Englewood Cliffs, N.J.: Prentice Hall, Inc., 1992.

"Prefixes for Binary Multiples," NIST Reference on Constants, Units, and Uncertainty, Physics Laboratory, National Institute of Standards and Technology, 2000 [cited 25 September 2001]. Available at http://physics.nist.gov/cuu/Units/binary.html.

Rau, B. Ramakrishna, "Dynamic Scheduling Techniques for VLIW Processors," HP Technical Report HPL-93-52 (June 1993) [cited 9 March 2002]. Available from http://www.hpl.hp.com/techreports/.

Rau, B. Ramakrishna, and Joseph A. Fisher, "Instruction-Level Parallel Processing: History, Overview, and Perspective," HP Technical Report HPL-92-132 (October 1992) [cited 9 March 2002]. Available from http://www.hpl.hp.com/techreports/.

Rau, B. Ramakrishna, Vinod Kathail, and Shail Aditya, "Machine-Description Driven Compilers for EPIC Processors," HP Technical Report HPL-98-40 (September 1998) [cited 9 March 2002]. Available from http://www.hpl.hp.com/techreports/.

Rohl, J. S., Recursion via Pascal. Cambridge, U.K.: Cambridge University Press, 1984.

Roy, Sumit and Bo Shen, Implementation of an Algorithm for Fast Down-Scale Transcoding of Compressed Video on the Itanium, 2001 [cited 27 September 2002]. Available from http://www.hpl.hp.com/personal/Sumit_Roy/.

Russell, Richard M., "The CRAY-1 Computer System," Communications of the ACM 21 (1), 63 72 (1978).

Schlansker, Michael S., and B. Ramakrishna Rau, "EPIC: An Architecture for Instruction-Level Parallel Processors," HP Technical Report HPL-1999-111 (2000) [cited 27 January 2002]. Available from http://www.hpl.hp.com/techreports/.

Schlansker, Michael S., B. Ramakrishna Rau, Scott Mahlke, Vinod Kathail, Richard Johnson, Sadun Anik, and Santosh G. Abraham, "Achieving High Levels of Instruction-Level Parallelism with Reduced Hardware Complexity," HP Technical Report HPL-96-120 (1994) [cited 27 January 2002]. Available from http://www.hpl.hp.com/techreports/.

Sery, George, Approaching the One Billion Transistor Logic Product: Process and Design Challenges, 2002 [cited 22 September 2002]. Available from http://www.intel.com/research/silicon/GeorgeSerySPIE0302.htm.

Severance, Charles, An Interview with the Old Man of Floating-Point: Reminiscences Elicited from William Kahan, 20 February 1998 [cited 7 October 2001]. Available at http://http.cs.berkeley.edu/~wkahan/ieee754status/754story.html.

Sharangpani, Harsh, Intel Itanium Processor Microarchitecture Overview. Intel Corporation: Presented at Microprocessor Forum, October 5 6, 1999.

Stallings, William, Computer Organization and Architecture: Designing for Performance, 6th ed. Upper Saddle River, N.J.: Prentice Hall, Inc., 2003.

Stallman, Richard M. and Roland H. Pesch, Debugging with GDB [cited 14 December 2001]. Available from http://www.fsf.org/manual/.

Tanenbaum, Andrew S., Structured Computer Organization, 4th ed. Upper Saddle River, N.J.: Prentice Hall, Inc., 1999.

Thakkar, Shreekant and Tom Huff, "The Internet Streaming SIMD Extensions," Intel Technology Journal, 2nd quarter 1999 [cited 27 September 2002]. Available from http://www.intel.com/technology/itj/.

Tirumalai, Parthasarathy, Meng Lee, and Michael Schlansker, "Parallelization of Loops with Exits on Pipelined Architectures," HP Technical Report HPL-90-107 (August 1990) [cited 9 March 2002]. Available from http://www.hpl.hp.com/techreports/.

Triebel, Walter, Itanium Architecture for Software Developers. Intel Press, 2000.

Triebel, Walter, Joseph D. Bissell, and Rick Booth, Programming Itanium-based Systems: Developing High Performance Applications for Intel's New Architecture. Intel Press, 2001.

Tuomi, Ilkka, "The Lives and Death of Moore's Law," First Monday 7 (11), 2002.

Unicode Consortium, Unicode Home Page, 2001 [cited 9 October 2001]. Available from http://www.unicode.org/.

Version Tracker [cited 10 January 2002]. See http://www.versiontracker.com/windows/ or http://www.versiontracker.com/macos/, as appropriate.

The Virtual Museum of Computing, 2002 [cited 26 September 2002]. Available from http://vmoc.museophile.com/.

Wagner, Ken, interviewer, "Profile: Gordon E. Moore, A Pioneer Looks Back at Semiconductors," IEEE Design & Test of Computers 16 (2), 8 14 (1999).

Wilkins, Charles L., Charles E. Klopfenstein, Thomas L. Isenhour, Peter C. Jurs and, for BASIC programs from chemistry (Part II), James S. Evans and Robert C. Williams, Introduction to Computer Programming for Chemists BASIC Version. Boston, Mass.: Allyn and Bacon, Inc., 1974.

Wilkinson, Barry, Computer Architecture: Design and Performance, 2nd ed. Upper Saddle River, N.J.: Prentice Hall, Inc., 1996.

Zheng, Cindy and Carol Thompson, "PA-RISC to IA-64: Transparent Execution, No Recompilation," Computer 33 (3), 47 52 (2000).



ItaniumR Architecture for Programmers. Understanding 64-Bit Processors and EPIC Principles
ItaniumR Architecture for Programmers. Understanding 64-Bit Processors and EPIC Principles
ISBN: N/A
EAN: N/A
Year: 2003
Pages: 223

flylib.com © 2008-2017.
If you may any questions please contact us: flylib@qtcs.net