Operating Voltages


One trend that is clear to anybody who has been following processor design is that the operating voltages have gotten lower and lower. The benefits of lower voltage are threefold. The most obvious is that with lower voltage comes lower overall power consumption. By consuming less power, a system is less expensive to run, which is a significant issue in large corporations that use many servers.

The second major benefit is that with less voltage and therefore less power consumption, less heat is produced. Processors that run cooler can be packed into systems more tightly, and they often last longer. They also have the added advantage of helping make possible specialized server form factors, such as blade servers.

Perhaps the most important benefit, however, is that a processor running cooler on less power can be made to run faster. Lowering the voltage has been one of the key factors in enabling the clock rates of processors to go higher and higher. This is because the lower the voltage, the shorter the time needed to change a signal from low to high.

Until the release of the mobile Pentium and both desktop and mobile Pentium MMX, most processors used a single voltage level to both power the core and run the I/O circuits. Originally, most processors ran both the core and I/O circuits at 5V, which was later reduced to 3.5V or 3.3V to lower power consumption. When a single voltage is used for both the internal processor core power and the external processor bus and I/O signals, the processor is said to have a single, or unified, power plane design.

When originally designing a version of the Pentium processor for mobile or portable computers, Intel came up with a scheme to dramatically reduce the power consumption while still remaining compatible with the existing 3.3V chipsets, bus logic, memory, and other components. The result was a dual-plane, or split-plane, power design in which the processor core ran off a lower voltage while the I/O circuits remained at 3.3V. This was originally called voltage-reduction technology (VRT) and first debuted in the mobile Pentium processors released in 1996. Later, this dual-plane power design also appeared in desktop processors such as the Pentium MMX, which used 2.8V to power the core and 3.3V for the I/O circuits. Now most recent processors, including server processors, feature a dual-plane power design.

Knowing the processor voltage requirements is not a big issue with Socket 8, Socket 370, Socket 478, Socket A, Socket 603, Socket 604, Socket 754, Socket 939, Socket 940, Pentium Pro (Socket 8), Pentium II (Slot 1 or Slot 2), Itanium, or Itanium 2 processors because these sockets and slots have special VID pins the processor uses to signal to the motherboard the exact voltage requirements. This enables the voltage regulators built in to the motherboard (or connected to the processor, in the case of the Itanium and Itanium 2) to be automatically set to the correct voltage levels simply through installation of the processor.

The Pentium Pro and Pentium II processors were the first to automatically determine their voltage settings by controlling the motherboard-based voltage regulator through built-in VID pins. Those are explained in more detail later in this chapter.

See "Pentium Pro Processors," p. 87.


See "Pentium II Processors," p. 90.


Note that on the STD or VRE settings, the core and I/O voltages are the same; these are single-plane voltage settings. Any time a voltage other than STD or VRE is set, the motherboard defaults to a dual-plane voltage setting where the core voltage can be specifically set, while the I/O voltage remains constant at 3.3V, no matter what.

Starting with the Pentium Pro, all newer processors automatically determine their voltage settings by controlling the voltage regulator. This is done through built-in VID pins.




Upgrading and Repairing Servers
Upgrading and Repairing Servers
ISBN: 078972815X
EAN: 2147483647
Year: 2006
Pages: 240

flylib.com © 2008-2017.
If you may any questions please contact us: flylib@qtcs.net